​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

  • A+
所属分类:专业报告

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

 

(报告出品方/分析师:中航证券 刘牧野)

 

光刻为IC制造核心工艺,光刻技术的演进成就了摩尔定律。光刻工艺占IC制造1/2的时间+1/3的成本,在瑞利公式:CD=1/的指导下,人类在缩短波长,增大数值孔径NA,降低工艺因子1三个方面展开探索,目前已实现13.5nm波长与达物理极限的1,正在向0.55NAEUV迈步。为了实现进一步制程微缩,业界多采用多重曝光工艺,但对光刻机的套刻精度、图形畸变、稳定性有更高的要求。10nm及以下时,ArFi+多重曝光的复杂度急剧上升,经济性下降,EUV的出现使摩尔定律得以延续。

 

光刻机由三大核心系统,数万个零件组成,是产业链各环节顶尖公司通力合作的成果。1)光源方面,DUV采用准分子激光器,技术掌握在Cymer和Gigaphoton手中,国内科益虹源打破垄断;EUV光源是通过高功率CO2激光器轰击Sn滴而来,高功率激光器为核心组件。2)光学系统是光刻机分辨率成像的保证,由照明系统和物镜系统构成,照明系统优化成像过程,实现分辨率增强;投影物镜系统将掩模图形聚焦成像,ZEISS为ASML关键光学元件独供商,国内技术水平仍有较大差距。3)双工件台系统有效提高了光刻精度与效率,国内华卓精科和清华大学团队走在前列。

 

光刻重要性愈显,国内亟待0→1的突破。半导体行业十年翻倍,晶圆厂积极扩产,叠加芯片性能升级,光刻强度上升,预计5nm逻辑芯片的光刻支出占比达35%,光刻工艺的重要性愈发凸显,市场规模快速增长,预计2024年有望达230亿美元,ASML在高端市场一枝独秀。2022年中国大陆光刻机进口约40亿美元,主要从日本、荷兰进口,出口管制下光刻机存在断供隐忧,自主可控势在必行。依托举国之力,汇聚各科研院之所长,目前已有阶段性成果陆续落地。光刻机产业化渐近,零部件投资先行,我们测算国内零部件市场空间约150亿元,市场空间大、技术关键性强。

 

一、光刻技术演进:一场成就摩尔定律的逐光之旅

1.1 光刻工艺:芯片制造的核心工艺

集成电路制造流程复杂,光刻为其中关键一环。光刻(Lithography)是指在特定波长光线的作用下,将设计在掩膜版上的集成电路图形转移到硅片表面的光刻胶上的技术工艺。为了完成图形转移,需要经历沉积、旋转涂胶、软烘、对准与曝光、后烘、显影、坚膜烘焙、显影检测等8道工序,检测合格后继续进行刻蚀、离子注入、去胶等步骤,并视需要重复制程步骤,建立芯片的“摩天大楼”。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

光刻核心地位:1/2的时间+1/3的成本。随着芯片技术的发展,重复步骤数增多,先进芯片需要进行20-30次光刻,光刻工艺的耗时可以占到整个晶圆制造时间的40%-50%,费用约占芯片生产成本的1/3。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.1 光刻机:半导体工业皇冠上的明珠,人类智慧的集大成者

曝光设备应用广泛,光刻机通常指用于芯片前道工艺的光刻设备。

泛半导体光刻技术可分为直写光刻和掩模光刻,直写式光刻精度较低,多用于IC后道封装、低世代线平板显示、PCB等领域;掩模光刻目前的主流形式为投影式,光刻精度高,可用于IC制造的前道工艺、后道先进封装和中高世代线的FPD生产。

光刻机单机价值量高,孕育千亿市场空间。

2022年全球晶圆前道设备销售941亿美元,光刻机占17%,是IC制造的第三大设备,但却是单机价值量最大的设备。据ASML财报测算,2022年单台EUV价格约1.8亿欧元,浸没式DUV约6500万欧元。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.2 光刻原理:瑞利公式指导,不断追求最优参数

瑞利第一公式:CD=k1 λ/NA,光源波长、数值孔径、光刻工艺系数共同决定投影式光刻机分辨率。

通过不断改进λ、k1 、NA三个参数,35年间分辨率降低两个数量级。其中λ为光源波长,从汞灯光源436nm g-line 到极紫外光源 13.5nm EUV,追求极致光源是光刻机历史演进的主要方向。

k1是工艺因子,ASML认为其物理极限在0.25。NA是指物镜的数值孔径, NA=n∗sin⁡θ,其中n为介质折射率, θ 为镜头聚焦至成像面的角度。

瑞利第二公式:DoF=k2 λ/NA^2,焦深限制了NA的无限扩大。

DoF焦深是指硅片沿光路移动时,能保持曝光成像质量的距离,焦深越大层间误差越小。随着光源波长逼近极限,目前降低分辨率的主要方法为增大数值孔径,但需要和DoF折中考虑。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.2 光刻技术演进:𝝀,向更短光源波长冲刺

光刻机世代衍变,缩短光源波长是核心。光刻机历经五代,波长从436nm缩小约30倍,达到13.5nm,对应节点从μm级升级到最先进的3nm,光源波长的缩短支撑了摩尔定律的发展,同时摩尔定律对芯片性能、成本的追求又催动光刻机在分辨率、加工效率等方面不断进步,相互实现。

光源演进:20世纪六七十年代,接触式光刻技术被用于IC制造的初期,采用可见光作为光源;80年代改用高压汞灯产生的紫外光(UV),g线和i线是紫外光中能量较高的谱线,365nm的i-line可将最高分辨率推动至220nm;80年代中期,IBM/Cymer等公司开始研发深紫外(DUV)准分子激光,最高分辨率降低至KrF(110nm)和ArF(65nm),采用ArF光源的第四代光刻机是目前应用最广泛的一代。随着工艺节点发展到7nm及以下,20世纪初期产业联合研发第五代EUV光刻机,使用13.5nm的极紫外光,比DUV光短14倍以上。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.2 光刻技术演进:NA,增大物镜直径+浸没式另辟蹊径

光源迭代速度放缓,high-NA是当前尖端光刻机的研发重点。

缩短光源波长是提高分辨率最直接的方法,但光源发展到ArF(193nm)时,下一代光源推进速度放缓,巨头开始将目光转向提高数值孔径,并出现了F2(光源演进)与ArF+immersion(增大NA)的路线之争。

𝑵𝑨 = 𝐧 ∗ 𝐬𝐢𝐧 𝜽,增大NA的两个方法:

1)增加投影物镜的直径,使更多的衍射光被收集并聚焦在晶圆表面,从而提高数值孔径。但当线宽小于65nm时,由于射出投影物镜的光角度太大(接近水平),加上折射效应,光线无法聚焦,该方法失效;

2)浸没式光刻:在投影物镜和晶圆间加水,从而增大介质折射率(193nm波长激光中,空气=1,水=1.44,玻璃~1.5),实现等效波长为193/1.44=134nm<F2(157nm),且系统升级更便捷,浸没式DUV脱颖而出。目前NA最大为1.35(ASML的湿法DUV),EUV光刻机正在从0.33NA向0.55NA突破。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.2 光刻技术演进:𝒌𝟏 ,RET推动工艺因子突破物理极限

𝒌𝟏 工艺因子包含了光刻工艺中对分辨率影响的诸多因素,半导体工程师致力于优化缩小该参数。光照条件的设置、掩模版设计以及光刻胶工艺等因素对分辨率的影响都反映在𝑘1因子中, 𝑘1因子也常被用于评估光刻工艺的难度,在批量生产时,为了保证工艺稳定性和良率,一般要求 𝑘1大于0.3,ASML认为其物理极限在0.25,𝑘1体现了各家晶圆厂运用光刻技术的水平。

RET帮助突破传统衍射极限。RET(分辨率增强技术)是指对掩模和光照系统做改进,实现最大共同工艺窗口,从而提高分辨率。常见的分辨率增强技术包括离轴照明、光学邻近校正、移相掩模、添加亚分辨率辅助图等方法,通过改变掩模的振幅(OPC法)或相位(PSM法),调整光源入射角度(OAI法)等提高分辨率、增加焦深、改善图形质量,此外也可以用多重曝光技术实现超越光刻机理论分辨率的精度。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.3 曝光方式:从接触/接近式跨越到投影式

初代光刻机采用接触式或接近式,无法兼顾掩模版寿命与分辨率。

接触式光刻机基于近场菲涅尔衍射成像原理,是SSI 时代的主要光刻手段,但掩模版和晶圆表面的光刻胶直接接触,容易导致掩模污染与损坏,只能重复使用5~25次,且图形缺陷多、依赖人工操作,逐渐被取代。接近式光刻机在接触式上发展而来,其原理是在掩模版和晶圆表面间保留2.5μm~25μm间距,避免了掩膜污染,但光通过缝隙会产生衍射,分辨率降低。

投影式光刻机既能避免污染又能实现倍缩,成为主流。

投影式光刻机基于远场傅里叶光学成像原理,在掩模版与硅片之间引入了物镜系统。1973年,美国Perkin Elmer率先推出第一台投影式光刻机,迅速替代传统接近接触式,70年代后期占据了90%的光刻机市场。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.3 曝光方式:步进扫描投影光刻机满足VLSI世代生产需求

投影式光刻机发展经历了扫描投影式→步进重复式→步进扫描式,步进扫描式光刻机兼顾了分辨率与生产效率,延用至今。

扫描投影光刻机:利用反射镜系统将整个掩模图形 1:1 投影到晶圆表面,适用于线宽大于1μm的非关键层,但制造亚微米级掩模版较难。

步进重复光刻机:利用22×22mm的典型静态曝光视场(FOV)和缩小比为5:1或4:1的光学投影物镜,将掩模版上的图形光刻到晶圆上。光刻过程中,掩模版固定,晶圆完成完成单次曝光后步进到下一位置重复。1978年GCA推出步进重复投影式光刻机,将分辨率提高到0.5μm。

步进扫描光刻机:融合了扫描式和步进重复式的优势,光源通过一个狭缝照射在掩模版上,掩模版沿一个方向移动时,等效于对掩膜进行扫描,晶圆沿反方向以1/4的速度同步移动,使得FOV增加至26×33mm,且单次曝光有机会获得多个芯片,在0.18μm及以下的节点广泛使用。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.3 多重曝光:实现制程微缩的重要手段,对光刻机要求提升

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 多重曝光将原本一层光刻的图形拆分到多个掩模上,利用光刻Litho和刻蚀Etch实现更小制程。1.35NA的浸没式DUV分辨率约38nm,单次曝光能满足28nm逻辑节点,在2015年EUV光刻机量产之前,台积电最先进制程已发展到16/12nm,实现手段便是多重曝光技术。常见的技术有双重曝光(DE)、固化双重曝光(LFLE)、双重光刻(LELE)、三重光刻(LELELE)、自对准双重成像(SADP)、连续两次SADP(SAQP)等。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 双重光刻(LELE):将光刻设计版图拆分在两块掩模上,第一次光刻使用第一块掩模版,光刻+刻蚀,将光刻胶上的图形转移到硬掩膜1(通常是CVD生成的无机薄膜材料);再旋涂光刻胶,使用第二块掩模版完成二次光刻+刻蚀,将第二次光刻胶上的图形转移到硬掩膜2,从而硬掩膜结合了两次光刻的图形,再进行一次刻蚀,将图形转移到衬底上。LELE工艺要求严格控制两次曝光的相对位置,套刻误差会直接影响线宽及均匀性,对光刻机的对准系统提出更高要求,且要求光刻机有更小的图形畸变、更好的图像质量和更高的稳定性。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.3 多重曝光:实现制程微缩的重要手段,对光刻机要求提升

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 自对准双重成像(SADP):单次光刻,利用沉积和多次刻蚀实现光刻图形的空间倍频。在衬底表面沉积牺牲层(一般是CVD材料),光刻+刻蚀,将掩模版的图形转移到牺牲层,形成mandrel 芯轴;ALD技术在芯轴表面和侧面沉积厚度均匀的薄膜(spacer材料),再利用反应离子刻蚀工艺将沉积在表面的spacer材料刻蚀掉,由于芯轴侧壁的几何效应,两侧材料会残留;接着选择强腐蚀液移除芯轴,此时spacer图形的周期是光刻图形的一半,最终再刻蚀将图形转移至衬底。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 相较于LELE方案,SADP对套刻误差的容忍度更高。SADP的难度在于对光刻、刻蚀、沉积等工艺做集成,需要设计版图符合一定规则,存储单元由规则密集线条构成,尤其适合采用SADP方案。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.3 多重曝光:EUV大幅降低多重曝光的复杂性和成本

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 当制程微缩至10nm及以下时,浸没式DUV多重曝光的工艺复杂度急剧上升。ArFi+双重曝光广泛用于22/20/16/14nm,三重或多重光刻技术可达到10nm甚至7nm。TSMC第一代7nm工艺N7便是用浸没式DUV+多重曝光实现的,但大幅增加了光刻、刻蚀、沉积等工艺的使用,对工艺整合的挑战巨大,也增加了良率损失的风险。假如完全采用浸没式DUV实现7nm,需要进行34步光刻工艺及59-65步的对准套刻;作为对比,完全采用EUV实现7nm,仅需要9步光刻及12步关键对准套刻,且成像质量更高,三星表示用EUV能减少超过20%的相对缺陷。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! EUV的出现使得摩尔定律得以继续发展。相较多重曝光,EUV能降低15%-50%的成本,缩短3-6x的周期时间,使产品更快量产。对于5nm制程,浸没式DUV难以实现且不具备经济效益。在实际生产中,各layer采用工艺不完全相同,往往是EUV、浸没式DUV与多重曝光技术结合使用。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

1.4 光刻机历史:由美转日终至荷,两次突破成就光刻巨人

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

二、光刻机的构成:三大核心,数万个零件

2.1 光刻机组成:三大核心,数万个零件

光刻机性能的三大评价指标:分辨率(CD)、套刻精度(overlay)、和产率(throughput,wph),三大核心壁垒:光源、光学、工件台。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

光刻机集精密光学、机械和控制、材料等众多最尖端技术于一身,主要包括光源系统、照明系统、投影物镜系统、双工件台系统、以及传输系统(光罩+晶圆)、调平调焦系统、对准系统等;同时需要极严苛的环境控制、整机控制以及整机软件分析系统。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

投影式光刻机由数万个零部件构成,是产业链各环节顶尖公司通力合作的成果。光刻机制造难度很高,以一台ASML EUV光刻机为例,由来自全球近800家供应商的多个模块和数十万个零件组成,每个模块在ASML遍布全球的工厂中生产,再运往荷兰总部组装。国内上海微电子90nm的干法DUV光刻机,也包括13个分系统,3万个机械件,200多个传感器,且要求每一个都稳定,存在高壁垒。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.2 光源系统:高压汞灯作为初代光刻机光源

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 高压汞灯工作原理:其结构为橄榄形玻璃外壳,壳内有密封的放电管,管内有两个相对的金属电极,并充有汞和氩。汞灯工作时,初始启动是低压汞蒸气和氩气放电;随后放电产生的热量使汞蒸气升压,电弧收缩,高压汞蒸气产生电离激发,形成放电管中的电子、原子和离子间碰撞而发光。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 高压汞灯主要辐射范围为254~579nm谱线。使用滤波器可以选择性的使用 i-line(365nm)或g-line(436nm)作为第一、二代光刻机的光源。高压汞灯适用于PCB、或LCD全、半自动曝光设备及半导体光刻等设备,一般用于平行曝光系统。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!
​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.2 光源系统:准分子激光器探索深紫外光谱

准分子激光器工作原理:准分子(Excimer)是一种在激发态结合为分子,在基态离解为原子的亚稳态分子,从束缚的激发态到排斥的基态会发生激光跃迁。准分子激光器是最重要的紫外和深紫外波段的激光光源,是一种辐射脉宽为几十纳秒的紫外气体激光器,其波长取决于所使用的气体。准分子激光技术始于上世纪60年代,先后实现了Kr2/Ar2/XeF/KrF/ArF/XeCl等激光辐射,由于其具有光子能量高、波长短等优势,被广泛用于光刻、工业加工、医疗、科研等领域,其中以预电离放电泵浦的ArF(193nm)、KrF(248nm)准分子激光器被用于光刻领域。

光刻机光源壁垒高,国内科益虹源打破垄断。全球能生产光刻用高重频准分子激光器的公司仅有美国Cymer、日本Gigaphoton,Cymer 2013年被ASML收购,目前占据了光刻机光源80%以上的市场。我国中科院微电子所控股、亦庄国投、华为哈勃投资的科益虹源,是国内唯一、全球第三家从事光刻准分子激光技术全链条研发和产业化的公司,193nm ArF准分子激光器完成出货,打破海外垄断。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.2 光源系统:准分子激光器探索深紫外光谱

准分子激光器的结构:准分子激光器可分为单腔和双腔,单腔主要以KrF光源为主,主要模块包括放电腔、高压脉冲电源、线宽压窄模块、输出耦合镜、检测模块和控制器。

以Cymer的ELS-6000系列为例,激光放电箱体内充有一定配比的 F2Kr/Ne 混合气体,当泵浦脉冲电源加到箱体的放电电极时,产生准分子受激辐射,放电箱体、20%反光镜、激光波长调谐模块共同组成谐振腔,对激光振荡和放大,最终输出高能量的激光脉冲。

由于双腔准分子激光器在波长和重频上更具优势,100nm以内主要采用双腔式,ArF光源多为该结构。

双腔准分子激光器的主要模块在单腔的基础上,增加了一个功率放大腔、光路传输模块、脉冲展宽单元等。

主放电腔、线宽压窄模块、耦合镜组成谐振腔产生种子光,种子光通过光路传输模块进入功率放大腔进行放大,从而使激光获得了更多增益,能量范围更大,对激光器的线宽有更大的调节范围。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.2 光源系统:准分子激光器探索深紫外光谱

准分子激光器是脉冲式的,主要技术指标有重复频率、持续时间、单脉冲能量及稳定性、输出功率、波长稳定性、光谱宽度(FWHM)等。

高端光刻用准分子激光器追求输出功率、稳定性和耐久性。较强的输出功率意味着曝光时间缩短,生产效率提升;引入新型材料提高了激光器的耐久性。

激光器中光学元件损伤会直接影响激光器的可靠性,决定了激光器的寿命和成本,主要易损元件有线宽压窄模块中的光栅、棱镜,光路传输模块中的反射镜和棱镜等,采用CaF2材料,也几乎是光刻用准分子激光器唯一可用的光学元件材料。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.2 光源系统:EUV光源使摩尔定律得以延续

EUV光源工作原理:EUV光本质不是激光,但其产生过程需要用到高功率激光器。目前主要有4种方案可以获得EUV光源,以主流的LPP(激光等离子体)方案为例,在真空腔内,直径约20μm的熔融锡液滴以每秒5万次的频率从发生器中喷射出来,被数十千瓦(20kW以上)的高功率 CO2激光器的两个连续脉冲击中,低强度预脉冲撞击圆形锡滴使其膨胀,变成薄饼型;接着高强度的主脉冲以全功率撞击薄饼锡,锡原子被电离,产生高强度的等离子体,收集镜捕获等离子体发出的13.5nm EUV辐射,将其集中起来传递至曝光系统。且由于薄饼锡受光面积大,光强明显增大。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

EUV光源系统的结构:EUV光源的主模块为真空等离子腔体,内部装有锡液滴发生器、回收器,以及收集镜等。主流的EUV光源是荷兰ASML、德国TRUMPF、德国ZEISS通力合作的结果, ASML作为集成商提供扫描仪和生成液滴的组件, ZEISS提供EUV镜头,泵浦激光器采用TRUMPF专门定制的正方形折叠腔轴快流CO2激光器,产生主脉冲和预脉冲,并通过光束传输系统BTS、光学平台 FFA进入真空腔体。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.2 光源系统:高功率激光器是EUV光源的核心设备

世界上最强大的脉冲式工业激光器作为“光源中的光源”。目前能提供EUV光源的仅有ASML子公司Cymer和日本Gigaphoton,二者均使用MOPA(主振+功率放大器)和预脉冲相结合的方案冲击Sn靶。

ASML使用通快激光放大器, Gigaphoton使用三菱电机生产的放大器,结构和原理类似。

以TRUMPF的激光器为例,核心组件有高功率种子模块、由4~5个谐振腔组成的高功率放大链路、光束传输系统和光学平台。放大器将几瓦的CO2激光脉冲连续放大10000倍以上,达到40kW。整个通快激光器包含45.7万个部件,重达17吨。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3 光学系统:实现高分辨率成像的保证

曝光系统由光源系统和光学系统构成,光学系统=照明系统+投影物镜,由诸多超精密光学部件组成。紫外光从光源系统发出后,首先进入照明系统,优化光束,接着光穿过掩模版,再经过投影物镜,将掩模版上图案聚焦成像在晶圆表面的光刻胶上。

照明系统在过程中对光束进行扩束、调整光的形状、提升光的均匀度、控制曝光剂量,并提供稳定照明,是非成像光学系统;投影物镜系统是成像光学系统,将掩模版图案倍缩并投影聚焦在晶圆上。一个EUV光学系统高约1.5m,重3.5吨,由3.5万个独立部件组成;其中照明系统共1.5万个parts/重1.5吨,投影物镜共2万个parts/重2万吨。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3.1 照明系统:优化成像过程,实现分辨率增强

照明系统的组成:包括主光学部分(扩束装置、光束传输单元、光瞳整形器、光束匀化器、照明物镜、狭缝单元)以及辅助单元(能量检测单元、光束采样单元)等。

①扩束传输单元:扩束镜组将光束直径和发散角扩大,再通过传输单元、转向单元、采样单元、变透过率板完成相应功能;

②光瞳整形器:调节照明相干因子,实现各种照明方式,实现RET,常见的光束整形技术有基于衍射光学元件(DOE)的和基于微反射镜阵列(MMA)的自由照明技术;

③光束匀化器:将光束转化为能量分布高度均匀的光斑,常见的有DOE匀光器、 CaF2 积分棒或者多种方案组合。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

离轴照明是一种很有效的光刻分辨增强技术。其原理是调整光线在掩模上的入射角,巧妙去掉外侧一束光,只留下两束(0,+1或-1),让两道光相互干涉成像,增加分辨率和DoF。离轴照明包括环形照明、四级照明和二级照明。实现不同照明光瞳的整形器从最初的固定光圈,到ASML的Aerial照明系统(可变倍望远镜+可变距互补锥形棱镜),再到主流的衍射光学元件DOE,最后演进到先进节点采用的自由照明技术。

自由照明系统充分释放部分相干照明的潜力,扩大光刻工艺窗口,具有高度灵活性。微反射镜阵列是实现自由照明光瞳的硬件基础,以ASML的FlexRay照明器为例,采用64×64个MEMS反射转镜,通过改变每一个反射镜的角位置改变照明光瞳,产生特定的照明模式。对于浸没式DUV,与转镜阵列相关的部分是反射镜,场镜为透镜;EUV同样采用自由照明系统,但场镜和光瞳镜均为可转动的反射镜阵列。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3.2 物镜系统:光刻机内的超精密光学之最

投影物镜系统被比作光刻机的“心脏”,是典型的超精密光学系统。投影物镜系统的功能是至少将穿过掩模版图案产生的1阶衍射光收进物镜内,并以一定比例缩小聚焦到预涂光刻胶的晶圆上,主要由各类光学元件组成,其性能的高低直接决定了光刻机的分辨率及套刻精度。为了实现更大NA、减少像差,投影物镜要求:1)物镜直径大;2)更多的透镜组合;3)精密光学加工、镀膜和测量工艺制作。

如今,ASML的先进DUV设备,投影物镜高度>1m,直径>0.4m,物镜内各种镜片的数量>15片,典型的投影物镜包含近30块镜片,60个光学表面,最大直径达0.8m。

投影物镜加工壁垒极高, 仅极少数国际顶级光学公司掌握 ,ZEISS是ASML关键光学元件的独家供应商。顶级单反相机镜头加工产生的像差在200nm以上,而ASML的ArF DUV投影物镜像差在2nm内。高端光刻机镜头的价值量接近0.6亿美元,成本占比大。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

波像差是衡量投影物镜性能的重要指标。波像差是指实际波面与理想波面在出瞳处相切或相交时的光程差,波像差的存在严重影响成像质量,物镜系统设计的关键在于缩小或修正波像差。但波像差与高数值孔径之间相互矛盾和约束,随着NA增加,像差校正更加困难。

增大NA并减少像差的主要方法:采用非球面元件、浸没式装置、引入反射元件。193nm DUV投影物镜的发展经历了三次技术飞跃:1)采用非球面元件,起初为全球面结构,NA<0.8,引入非球面后,在不改变物镜口径的情况下,NA提升至0.9;2)引入浸没式系统,使NA达到1.07;3)引入反射镜,采用折反式光学系统,配合浸没式,将NA提升到1.3以上。

此外,研究新的光学材料和浸没液可以进一步提高NA,但材料对深紫外光的吸收严重,可用作透镜的材料有限,主要有融石英和CaF2,融石英技术成熟且热膨胀系数低,是DUV的首选,CaF2加工难度大,成本昂贵,但会在镜头特定位置添加CaF2校正系统色差。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3.2 物镜系统:浸没式光刻“守正出奇”

浸没式技术将重心放在物镜系统,超越F2光源演进。浸没式光刻技术在投影物镜最后一个透镜的下表面与光刻胶之间充满高折射率的液体,目前主要采用局部浸没式,仅在曝光区域和透镜之间充水,并保证水随着光刻机在晶圆表面做步进-扫描运动,其好处是对系统的改造小,工件台与干式系统相同,并保留其对准系统和调平调焦系统。在林本坚提出浸没式之前,Nikon等研制157nm F2光源光刻机,透镜材料仅能用CaF2,光刻胶也需要重新研制,更换成本高。2003年ASML推出首台浸没式设备1250i,2006年推出1700i实现量产,一举成为行业绝对龙头。

国内启尔机电提供液化纯化系统。浸没式的巧思易懂,但实现量产仍有诸多难关,包括气泡消除、水温温控、光刻胶设计等,需要流体动力学的技术积累,国内启尔机电走在前列,为浸没式提供稳定可靠的超纯水,对TOC、颗粒、溶解氧、温度、离子等进行控制,保证水质、温度和压力。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3.2 物镜系统:折反式镜头是大NA浸没式的必然选择

折射式物镜系统引入非球面,双腰变单腰。早期的物镜系统是“双腰”结构,曲率排列方式为正负正负正,随着NA增大,镜头口径急剧增大,增加了制造难度和材料成本。当NA>0.7时,需要引入非球面镜,目前先进ArF光刻机中有十几片非球面镜。非球面镜的引入使物镜系统元件口径保持在合理范围,最终进化为“单腰”结构。

反射折射式光学系统将NA推高至1.35。干式DUV的NA极限为1,实际产品可以做到0.93,通过浸没式可以将NA提升至1.07,但NA>1.2时,传统的折射镜头将不堪重负,NA每增加0.1,透镜需要增加3~4片。反射镜有正光焦度和负值场曲,可以不依赖传统的“腰肚”结构,使用较少数量、较小口径的光学元件满足对场曲的校正。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!
​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3.2 物镜系统:EUV光刻机采用全反射镜片

EUV物镜系统为全反射结构,抛光和镀膜为关键工艺。EUV光波长13.5nm,极易被材料(包括空气)吸收,必须在真空条件下运行,且照明系统和投影物镜系统均为全反射镜片。其中EUV物镜系统由6片布拉格反射镜组成,重约2吨,共2万个parts。反射镜表面镀有Mo/Si多层膜结构,最高有100层堆叠,通过多层膜实现更高的反射效率,ZEISS与Fraunhofer IOF研究所共同研发独特的镀膜系统,使反射率达到70%。

严苛的光学精度要求,ZEISS遥遥领先,国内茂莱光学正在发力。由于全反射系统设计要求光束相互避让,误差容忍度低,对光学元件加工的要求非常高。新一代EUV光刻机的反射镜的面形精度为PV<0.12nm,表面粗糙度<30pm,原子级别的光洁度,全球仅ZEISS能达到。国内茂莱光学PV<30nm,表面面形RMS<5nm,表面粗糙度<0.5nm,供货上海微电子,应用于 I 线光刻机物镜中。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.3.2 物镜系统:下一代EUV,向High-NA迈进

High-NA接力支撑逻辑制程演进,降本增效。UV光源发展到13.5nm的极紫外后,光学光刻已接近极限,下一代光源演进只能向X射线发展,ASML早在2015年前就开始研发高数值孔径(0.55NA)的EUV平台。相较于0.33NA的光刻机,High-NA光刻机可以将分辨率提高70%,支撑3nm及以下节点的继续发展,将工艺步骤数简化33%,图形化成本降低42%。与此同时,最后一面反射镜的直径扩大到1.2m,质量也明显增加。ASML的High-NA EUV产品计划于2024年交付。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.4 双工件台系统:精密运动,增质提效

双工件台是纳米精度运动及测控系统,有效提高了光刻精度与效率,是ASML的“杀手锏”之一。工件台是承载硅片的平台,早期为单工件台形式,串联执行上下片、测量、对准和曝光等工序。2000年ASML的双工件台TWINSCAN推向市场,两个工件台独立运行,当位于曝光工位的工件台做曝光时,位于测量工位的工件台完成上片、对准、三维形貌测量后,两个工件台互换位置,如此循环。双工件台将工序分解到两个平台执行,大幅提高了生产效率和芯片的经济性。

双工件台与高端光刻机相得益彰。

1)先进光刻要求极高的对准精度,需要的测量标识多。通常曝光时间>测量和校正的时间,因此双工件台的设计为测量工序预留了更多时间,可以做更复杂的测量而不影响产能。单工件台产能很难超过100wph,而ASML领先的光刻机(如2100i)产率将超过295wph。

2)双工件台更能适应浸没式光刻的需求,避免了物镜系统与硅片间的水膜影响硅片测量的准确性,提升了光刻精度。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 工件台是基于“宏动+微动”复合结构的六自由度运动台。由吸盘模块、驱动模块、导向模块、位置测量模块和运动控制模块组成,承片台上有真空吸盘用于固定硅片,宏动模块是承载微动模块的运动装置,主要完成长行程运动,微动模块实现纳米精度的运动,共同完成硅片的定位和传输,超精密位移测量系统负责实时高精度位置测量和反馈。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 双工件台的核心指标直接影响整机性能,国内华卓精科和清华大学团队走在前列。MA(移动平均偏差)影响光刻分辨率,MSD(移动标准偏差)影响套刻精度,速度、加速度、机台稳定时间等影响产率。CD为38nm的光刻机要求MA在1nm以内,MSD在7nm左右,ASML的载物台加速度可达5g。2016年清华大学朱煜团队研发的双工件台样机通过02专项验收(MA为1.5nm,MSD为2.6nm)且通过华卓精科已向SMEE出货。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

2.4 双工件台系统:磁悬浮平面电机+平面光栅

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 2008年工件台技术飞跃,实现更轻的质量、更快的速度和更高的精度。第一代双工件台AT、XT系列采用直线电机+气浮导轨+激光干涉仪的方案。2008年,NXT平台采用了创新型材料,并使用磁悬浮平面电机+平面光栅测量技术,生产效率提高30%,适用于ArFi和EUV光刻机中。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 光栅编码器是双工件台的关键零部件,奥普光电为国内龙头。激光干涉仪的测量精度受环境因素影响,而平面光栅技术测量光路短,对环境不敏感,且工件台上无需长反射镜,质量更轻,动态性能更好,ASML和Nikon的工件台均采用了光栅编码器。ASML的方案中,采用二维光栅作为测量光栅,两个工件台上分别布置4个光栅编码器,具有4个面内测量信息和4个面外垂向测量信息,利用8个位移测量信息得到六自由度位移。奥普光电控股禹衡光学,其光栅编码器广泛用于数控机床、伺服电机、航空航天、重大科研仪器中,市占率居国内同行业之首。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

三、光刻产业趋势:光刻重要性愈显,举国之力,寻光刻星火

3.1 下游需求:半导体行业十年翻倍,先进与成熟共振

短期下行不改长期趋势,产业规模持续增长。据麦肯锡预测,2030年半导体市场规模约1.1万亿美元,相较于2020年实现翻倍增长,2021-2030年期间市场规模的CAGR为7%,各下游终端均有贡献,其中汽车电子、工业电子增速最快。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

先进与成熟制程共成长,催生不同类别光刻机需求。一方面,手机和计算机性能跃升,AI对算力需求激增,摩尔定律延续,逻辑芯片向2nm以下工艺演进;另一方面,智能终端里芯片种类丰富,如电源管理等芯片以成熟制程为主,IoT、汽车电子相关芯片也以成熟为主。此外,芯片不同层的精度需求有差异,或采用先进+普通光刻设备搭配使用的方案,各类型光刻机都有增长机会。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.1 下游需求:晶圆厂积极扩产,头部大厂资本开支仍居高位

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! ASML预计2020-2030年,全球晶圆产能每年将增长78万片/月,CAGR为6.5%。其中先进、成熟制程每年月产能增长分别为22/38万片,CAGR分别为12.0%/6.0%,存储芯片增速放缓,DRAM和NAND增速分别为4.7%/4.9%。若进一步考虑技术主权和竞争,将再增加15万片/月的产能。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 半导体下行期,存储大厂短期削减资本开支,主流代工厂持平略减。TSMC预计今年资本开支320-360亿美元,基本持平或略减10%,Intel计划削减30亿美元,UMC保持30亿美元不变。国内中芯国际资本支出预计与2022年持平,维持63.5亿美元的高位。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.1 市场趋势:芯片性能升级,光刻强度上升

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 光刻强度是指光刻资本支出占新建晶圆厂总资本支出的比例,呈现上升态势。先进逻辑制程对分辨率要求最高,因而光刻难度最大、光刻强度也最高。10nm逻辑芯片已达25%,5nm及以下制程需要利用EUV+多重曝光实现,光刻强度超过35%。先进DRAM芯片光刻强度在25%左右。NAND多采用3D堆叠架构,光刻强度相对较低,但存储巨头也在逐步引入EUV生产更高层3D NAND。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.1 市场趋势:24年设备市场有望回暖,光刻占比将超25%

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 2023年WFE放缓,2024年有望复苏。据SEMI数据,2022年WFE(全球晶圆厂设备支出)980亿美元,创历史新高,2023年将下降22%至760亿美元。预计2024年迎来复苏,同比增长21%至920亿美元,其中Foundr y引领投资,2024年达488亿美元。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 技术升级,光刻市场增速快于WFE增速。光刻机市场趋势与WFE整体趋势基本保持一致,但随着芯片工艺升级,对应光刻难度提升,采用更先进的机台,因此,光刻机在半导体设备的占比呈向上趋势,2024年光刻支出占WFE的比例将超过25%,以此测算光刻机市场规模约230亿美元。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.1 市场空间:高端EUV+ArFi光刻机市场规模较大

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 从出货结构来看,中低端KrF/i - line光刻机出货最多。2022年,ASML/Nikon/Canon三家头部光刻机公司合计出货209台KrF和+193台i - line光刻机,KrF机台主要来自ASML,i - line主要来自Canon。此外,浸没式光刻机可覆盖最广泛应用的28nm节点,全年出货85台,其中81台来自ASML;EUV全年出货约40台。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 从收入结构来看,高端机型贡献主要收入。据ASML的财报计算,EUV与ArFi光刻机的ASP远远高于KrF和i - line光刻机,尽管高端机型出货量少于中低端光刻机,但总收入较高,市场规模较大。在ASML的设备收入中, EUV+ArFi占比超8成。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.1 市场空间:EUV光刻市场高速成长

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 先进节点主要依赖EUV。7nm逻辑芯片中,ArFi机台的开支与EUV基本持平,但最新的3nm工艺中,EUV约占总光刻支出的70%;DRAM芯片发展到1α节点也开始依赖EUV,预计未来EUV在光刻机总市场规模的占比将进一步提升。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 三家主要的EUV客户宣布在全球投资超3000亿美元。Intel IDM 2.0计划,对先进制程的投资可观,TSMC、Samsung也规划大手笔扩产。Mordor Intelligence预计,2022-2027年EUV光刻市场CAGR达16.7%,2028年有望超过200亿美元。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!
​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.2 竞争格局:“一超两强”,高端市场ASML一枝独秀

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 当前全球半导体前道光刻机市场主要由ASML、Nikon、Canon三分天下。光刻机市场历经千帆,从早年美国GCA+PerkinElmer把持,到如今仅剩荷兰ASML+日本Nikon/Canon,美国光刻机企业退出历史舞台。后入局的上海微电子主要在封装环节,前道光刻机小批量出货。当前,光刻机市场份额集中度很高,ASML占据全球8成以上的市场。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 浸没式的成功和EUV的飞跃,使ASML几乎垄断高端市场。全球仅ASML能生产高端EUV,浸没式DUV也仅ASML与Nikon出货,2022年ASML出货占95%,在ArF和KrF光刻机的份额也高达88%/72%。仅低端i线光刻机上,Canon出货占比较高。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.2 他山之石:深度绑定上下游,打造ASML生态圈

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 我们认为ASML抓住两次时代机遇,脱颖而出成为全球光刻霸主,是偶然也是必然。他山之石,可以攻玉,ASML的成功经验也能给予我国光刻产业一定启发。

归结如下​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 1)通过收购/入股,深度绑定上游供应商。2000年以来ASML历经7次主要收购,包括美国光刻机制造商SVG,美国计算光刻软件公司Brion,美国EUV光源制造商Cymer。2016年收购中国台湾HMI(汉微科),吸收其电子束晶圆检测能力;2017年收购Zeiss 24.9%的股份;2019年收购荷兰电子束光刻厂家Mapper做技术储备;2020年收购Berliner Glas,主要提供晶圆台和夹具、掩膜卡盘和镜块。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 2)鼓励客户参股公司,构筑利益共同体。2012年英特尔+台积电+三星共投资61.3亿美元取得ASML 23%的股权,加速EUV开发,并获得优先供货权。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 3)重视研发投入,并采取开放合作的研发模式。2022年ASML研发投入33亿欧元,2025年目标超过40亿美元。且公司不会“孤岛式”研发,与产业链共研发,分担风险和收益。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!
​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.3 国内现状:国内光刻机依赖进口,亟待0→1的突破

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 中国大陆光刻机市场空间广阔,但主要依赖荷兰、日本等地进口。根据中国海关总署数据,2022年中国大陆IC用光刻机进口金额共39.7亿美元,其中从荷兰、日本的进口金额分别为25.5/13.0亿美元,进口机台数分别为147台、635台,对应进口均价分别为1733、204万美元,高端机台主要从荷兰ASML进口。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 中国大陆是ASML第三大客户。2022年ASML对中国大陆总销售额31.4亿美元(含设备、服务等),其中设备收入23.3亿美元,占14%,仅次于中国台湾和韩国。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.3 国内现状:高端光刻机面临断供,自主可控势在必行

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 制裁情况优于此前预期,行业燃眉之急暂缓。2023年初美日荷三国领导人会晤,计划联合制裁。随后日本管制条例于7月23日正式实施,Nikon的高端DUV受限。3月8日荷兰政府公告拟对华限制出口“最先进”的DUV光刻设备, 6月30日正式出台管制措施,并定于9月1日正式落地。此前预期ASML NXT:2000i及之后的浸没式机台将无法出货。但ASML最新确认,公司可在2023年底前向中国大陆客户出口包括2000i及更先进型号的浸没式DUV。延长了出货时间,且先进机台的套刻精度、产率都有明显提升。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! EUV长期被限,2024年高端浸没式也将断供。尽管危机暂缓,但并未完全解除,我国光刻机仍受制于人,仍是“卡脖子”最关键环节,从国家安全考虑,实现高端光刻机的国产替代至关重要。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.3 国内现状:谋国产化宏图,各科研院所齐发力

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 我国光刻机的研制起步并不晚,早在70年代就研制出接触式曝光系统,由于早期我国半导体产业的整体落后,以及“造不如买”的思潮影响,光刻机产业化落地滞后。2002年ArF光刻机被列入“863计划”、2008年启动“02专项”,光刻机事业才再度觉醒。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 集各家所长,各科研院所阶段性成果陆续落地。 我国光刻机攻尖采取类ASML的模式,细分各科研院所、高校做分系统,再由SMEE进行整机组装。中科院微电子所、长光所、上光所,清华大学、浙江大学、哈工大等均参与光刻机的研发,目前干法光刻机的分系统基本通过验收,陆续产业化落地,并继续承担“02专项”进行湿法光刻机分系统研发。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

3.3 国内现状:举国之力,国产光刻机曙光初显

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 上海微电子完成90nm光刻机出货,并加快浸没式设备研发。SMEE在光刻机领域有多年积累,占据国内后道封装用光刻机80%以上的市场份额。2018年公司承担的02专项“90nm光刻机样机研制”通过验收,对应公司90nm SSA600/20步进扫描投影光刻机实现量产。2017年公司承担的02专项“浸没光刻机关键技术预研项目”也通过验收,目前正在加速推进产业化落地。若浸没式DUV能顺利跑通,通过ArFi+多重曝光或可将国内IC制造的国产化能力推进至先进制程,将是里程碑式的迈进。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 国家牵头,科研院所、关键公司参与,供应链自主可控。光刻机作为高壁垒、重资本、高风险的行业,其发展必将借助“举国体制”之力,重点关注上海微电子和几大院所的研究进展,以及其控股/参股的资产,或有光刻机成果注入的预期。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

四、光刻机产业化渐近,零部件投资先行

4.1 光刻机投资:整机进展可期,上游零部件先行

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 光刻机上游零部件市场空间大,技术关键性强。一台光刻机由数万个零部件组成,2022年ASML供应商共5000家,合计供应链支出124亿欧元,以欧洲为主导,且依赖部分美国、中国台湾的公司。其中与产品相关的支出86亿欧元,2022年ASML设备收入154亿欧元,以此测算,上游零部件成本占比约56%。2022年国内IC用光刻机进口金额约40亿美元,若供应链实现全国产替代,对应上游零部件市场空间超150亿元。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 零部件存在断供隐忧,国产替代有望提速。彭博社此前称,荷兰出口管制规则将限制ASML为受控设备进行维护、修理和提供备件。在此情况下,未来无论是整机自研配套零部件,或是备件更换都会更多依赖国内零部件供应商,我们强调重视光刻机上游零部件的投资机会。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

4.2 福晶科技:非线性晶体王者,发力超精密光学元件

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 中科院福建物构所控股,技术实力强劲。公司立足于晶体材料,横拓纵延,成为业内稀缺的“晶体+光学元件+激光器件”一站式综合服务的供应商。其中,非线性光学晶体LBO和BBO市占率近80%,位居全球第一,产品供货TRUMPF ,Lumentum、锐科激光等国内外知名激光器企业。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 成立“至期光子”,有望开辟新增长。至期光子聚焦纳米精度的超精密光学元件制造及复杂光机组件的研发,面向半导体等尖端光学应用领域。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

4.3 奥普光电:长光所领衔,高端光栅编码器龙头

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 公司实控人为中科院长春光机所,控股“禹衡光学”,布局光栅编码器。

公司目前以军工业务为主,主导产品有光电经纬仪光机分系统、航空/航天相机光机分系统、新型雷达天线座、精密转台、医疗检测仪器、光栅编码器、高性能碳纤维复合材料、高端k9光学玻璃等,并参与祝融、羲和等多项国家重大工程项目。

禹衡光学是亚洲最具实力的光栅编码器制造商,参与了多项行业标准的制定。公司持有禹衡光学65%的股权,并布局超精尺方面的技术投入和研发,理论上可用于光刻机。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

4.4 茂莱光学:下游多领域齐发展,透镜供货SMEE

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 技术底蕴深厚,下游应用多点开花。公司是国际先进精密光学综合解决方案提供商,专注于精密光学器件,高端光学镜头和先进光学仪器的研发与制造,并广泛用于半导体、生命科学、航空航天、无人驾驶、生物识别、 AR/VR 检测等多领域。23H1,半导体领域收入占比约34%。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 厚积薄发,相关产品进入半导体头部客户供应链。公司的半导体检测设备光学模组供货KLA、 Camtek;光学透镜供货SMEE,为光刻机国产化提供了重要支撑。据Frost & Sullivan报告,预计2022-2026年,全球半导体领域工业级精密光学市场规模将从35.5亿元增长至55.8亿元。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

4.5 福光股份:军用高端光学镜头供应商

走军民融合发展道路,与华为建立合作。福光股份承担多项武器装备科研任务,掌握多项自主核心产品,并实现军民技术互转,使民用安防监控镜头在超长焦距、高变倍、红外夜视等性能上实现技术飞跃,达到国内先进水平,供货于海康威视、大华股份、华为等。

公司募投项目布局精密及超精密光学加工。项目对非球面玻璃镜片加工、球面镜片高精度加工、紫外镜片加工等超精密光学加工技术进行突破,为光刻机等高端装备、国防、航空、航天等领域提供高精密光学镜头及光学系统。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

4.6 美埃科技:国内电子半导体洁净室设备龙头

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火! 聚焦工业级超洁净技术,覆盖国内外半导体龙头厂商,为国内光刻事业提供一臂之力。公司应用于半导体行业的主要产品有风机过滤单元、过滤器等,风机过滤单元是洁净室空气净化的关键设备,并搭配或内置超高效过滤器,提供稳定洁净气流。

公司客户矩阵强大,覆盖中芯国际、STM、Intel等国内外头部半导体公司,2006年至今为中芯国际多地工厂供应FFU、过滤器等产品。

此外,公司为SMEE开发28nm光刻机所需的国际最高洁净环境提供EFU(超薄型设备端自带风机过滤机组)及ULPA(超高效过滤器)等产品。

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

五、风险提示

国产光刻机研发及落地不及预期:光刻机产业壁垒极高,我国离ASML顶尖光刻机仍有较大差距,尽管近年来好消息频传,但实际产业化落地较慢,且需要较长的验证时间对光刻机性能不断完善,最终达到量产水准,中间过程复杂、耗时、耗财都较大,存在不及预期的风险。

需求疲软,晶圆厂扩产不及预期:在全球创新乏力、换机周期拉长的大背景下,下游消费电子景气度较为低迷,行业内公司一度库存高企。需求疲软向上传递,晶圆厂延迟扩产甚至减产,对光刻机的采购需求会有所下滑。

竞争加剧的风险:我国在光学激光器、光学元件领域,产业链玩家都较多,行业竞争较大。

出口管制进一步加剧的风险:实现光刻机整机+零部件全自主可控是终极目标,但当前阶段,我国较多零部件仍依赖进口,若贸易摩擦进一步加剧,或将影响国产光刻机的研发进程。

 

 

报告版权归原撰写/发布机构所有,如涉侵权,请联系删除 ;资料为推荐阅读,仅供参考学习,如对内容存疑,请与原撰写/发布机构联系。

 

报告下载:https://t.zsxq.com/12SRrx3eO

 

​​以上就是今天分享观点,若有什么问题,欢迎在下方留言。

 

学习资料见知识星球。

以上就是今天要分享的技巧,你学会了吗?若有什么问题,欢迎在下方留言。

快来试试吧,小琥 my21ke007。获取 1000个免费 Excel模板福利​​​​!

更多技巧, www.excelbook.cn

欢迎 加入 零售创新 知识星球,知识星球主要以数据分析、报告分享、数据工具讨论为主;

​​专业报告,光刻机深度报告:筚路蓝缕,寻光刻星火!

你将获得:

1、价值上万元的专业的PPT报告模板。

2、专业案例分析和解读笔记。

3、实用的Excel、Word、PPT技巧。

4、VIP讨论群,共享资源。

5、优惠的会员商品。

6、一次付费只需99元,即可下载本站文章涉及的文件和软件。

  • 我的微信
  • weinxin
  • 我的知识星球
  • weinxin

发表评论

:?: :razz: :sad: :evil: :!: :smile: :oops: :grin: :eek: :shock: :???: :cool: :lol: :mad: :twisted: :roll: :wink: :idea: :arrow: :neutral: :cry: :mrgreen: